verilator: verilator (the fastest free Verilog HDL simulator) verilator: verilator: Verilator is invoked with parameters similar to GCC or Synopsys's verilator: VCS. It "Verilates" the specified synthesizable Verilog or verilator: SystemVerilog code by reading it, performing lint checks, and verilator: optionally inserting assertion checks and coverage-analysis points. verilator: It outputs single- or verilator: multi-threaded .cpp and .h files, verilator: the "Verilated" code. verilator: verilator: homepage: https://www.veripool.org/wiki/verilator verilator: